Strona 1 z 1

I2C na FPGA

: 20 cze 2021 23:50
autor: spalinowy
Witam,
czy jest ktoś w stanie mi wytłumaczyć jak skonfigurować moduł MPU 9255, aby możliwy był odczyt danych? Aktualnie udało mi się jedynie dostać wartość 255, bo nie umiem skonfigurować modułu. Chodzi właściwie o mapy rejestrów i dane tam zawarte.
Załączam dokumentację oraz projekt.

Re: I2C na FPGA

: 24 cze 2021 11:34
autor: smiga
Nie bawiłem się tym modułem ...jeszcze :), ale zacząłbym od podpięcia do Arduino, ściągnięcia gotowego kodu (np.: http://arduinolearning.com/amp/code/ard ... xample.php) i oglądnięcia co tam się dzieje.

Re: I2C na FPGA

: 28 cze 2021 13:22
autor: spalinowy
Dzięki za pomoc! Teraz może znów jakoś drgnie mój projekt.